logger -expect error "Begin label \(correct_name\) and end label \(incorrect_name\) don't match\." 1 read_verilog -sv <