read_verilog -sv func_typename_ret.sv proc equiv_make gold gate equiv equiv_simple equiv_status -assert