logger -expect error "For loop inline variable declaration is only supported in SystemVerilog mode!" 1 read_verilog <