logger -expect error "For loop variable declaration is missing initialization!" 1 read_verilog -sv <