logger -expect-no-warnings read_verilog <> b) >>> c; endmodule EOT design -reset logger -expect-no-warnings read_verilog < inA[1]) out <= 1'b1; else if (inA[0] < inA[1] - hyst) out <= 1'b0; end endmodule EOT design -reset logger -expect-no-warnings read_verilog <