logger -expect error "Cannot add signal `\\x' because a memory with the same name was already created" 1 read_verilog <