logger -expect error "Cannot add pwire `\\x' because a signal with the same name was already created" 1 read_verilog -pwires <