logger -expect error "Cannot add interface port `\\i' because a signal with the same name was already created" 1 read_verilog -sv <