# Check that basic macro expansions do what you'd expect read_verilog <