logger -expect error "syntax error, unexpected TOK_REAL" 1 read_verilog -sv <