read_verilog fib.v hierarchy proc equiv_make gold gate equiv equiv_simple equiv_status -assert