read_verilog -sv const_arg_loop.sv hierarchy proc opt -full select -module top sat -verify -seq 1 -tempinduct -prove-asserts -show-all