# Regression test for #3467 read_verilog <