read_verilog < 0; endmodule EOT design -reset read_verilog <((-3'sd0)>>(4'sd2)))}}; assign y8 = (-(!($signed({3{p9}})<(p4?b4:b5)))); endmodule EOT design -reset read_verilog <