read_verilog abc9.v design -save read hierarchy -top abc9_test027 proc design -save gold abc9 -lut 4 check design -stash gate design -import gold -as gold design -import gate -as gate miter -equiv -flatten -make_assert -make_outputs gold gate miter sat -verify -prove-asserts -show-ports miter design -load read hierarchy -top abc9_test028 proc abc9 -lut 4 select -assert-count 1 t:$lut r:LUT=2'b01 r:WIDTH=1 %i %i select -assert-count 1 t:unknown select -assert-none t:$lut t:unknown %% t: %D design -load read hierarchy -top abc9_test032 proc clk2fflogic design -save gold abc9 -lut 4 check design -stash gate design -import gold -as gold design -import gate -as gate miter -equiv -flatten -make_assert -make_outputs gold gate miter sat -seq 10 -verify -prove-asserts -show-ports miter design -reset read_verilog -icells < o) = 1; endspecify endmodule module abc9_test037(input [1:0] i, output o); LUT2 #(.mask(4'b0)) lut (.i(i), .o(o)); endmodule EOT abc9 design -reset read_verilog -icells < O) = P; (I1 => O) = P; (S => O) = P; endspecify endmodule module abc9_test039(output O); mux_with_param #(.P(1)) m ( .I0(1'b1), .I1(1'b1), .O(O), .S(1'b0) ); endmodule EOT abc9 -lut 4 cd abc9_test039 select -assert-count 1 t:mux_with_param # Check that dangling ABC9 black-boxes are swept away design -reset read_verilog -specify < O) = P; (I1 => O) = P; (S => O) = P; endspecify endmodule module abc9_test040(output O); wire w; mux_with_param #(.P(1)) m ( .I0(1'b1), .I1(1'b1), .O(w), .S(1'b0) ); endmodule EOT abc9 -lut 4 cd abc9_test040 select -assert-count 0 t:mux_with_param