read_verilog -specify < (q : d)) = 1; (d => do) = 1; endspecify endmodule module top(input clk, d, output q); box i1(clk, d, q); endmodule EOT hierarchy abc9 -lut 4 abc9 -lut 4