read_verilog -specify < o) = 1; endspecify assign o = ^i; endmodule module top(input [1:0] i, output o); box i1(i, o); endmodule EOT abc9 -lut 4