read_verilog <> t; endmodule EOT wreduce equiv_opt -assert peepopt