# https://github.com/YosysHQ/yosys/issues/1381 read_verilog <