read_verilog dffsr.v proc opt_dff stat select -assert-count 1 t:$dffsr sim -clock clk -r tb_dffsr.fst -scope tb_dffsr.uut -sim-cmp dffsr