read_verilog dffe.v proc opt_dff stat select -assert-count 1 t:$dffe sim -clock clk -r tb_dffe.fst -scope tb_dffe.uut -sim-cmp dffe