read_verilog adffe.v proc opt_dff stat select -assert-count 1 t:$adffe sim -clock clk -r tb_adffe.fst -scope tb_adffe.uut -sim-cmp adffe