read_verilog adff.v proc opt_dff stat select -assert-count 1 t:$adff sim -clock clk -r tb_adff.fst -scope tb_adff.uut -sim-cmp adff