design -reset read_verilog <