read_verilog << EOT module test (A, B, C, D, Y); input A, B, C, D; output Y; assign Y = A^B^C^D^A; endmodule EOT techmap equiv_opt -assert extract_reduce