read_verilog -sv inst_list.sv