read_verilog -sv hier.sv