read_verilog rom.v proc flatten equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 # equivalency check design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) cd top # Constrain all select calls below inside the top module select -assert-count 5 t:SB_LUT4 select -assert-none t:SB_LUT4 %% t:* %D