read_verilog demo.v synth_anlogic -top demo write_verilog full.v