From bbff844acd15c274a6619050d1251aea4698ef56 Mon Sep 17 00:00:00 2001 From: Zachary Snow Date: Fri, 26 Feb 2021 18:08:23 -0500 Subject: genrtlil: improve name conflict error messaging --- tests/verilog/conflict_assert.ys | 8 ++++++++ tests/verilog/conflict_cell_memory.ys | 9 +++++++++ tests/verilog/conflict_interface_port.ys | 17 +++++++++++++++++ tests/verilog/conflict_memory_wire.ys | 7 +++++++ tests/verilog/conflict_pwire.ys | 8 ++++++++ tests/verilog/conflict_wire_memory.ys | 7 +++++++ 6 files changed, 56 insertions(+) create mode 100644 tests/verilog/conflict_assert.ys create mode 100644 tests/verilog/conflict_cell_memory.ys create mode 100644 tests/verilog/conflict_interface_port.ys create mode 100644 tests/verilog/conflict_memory_wire.ys create mode 100644 tests/verilog/conflict_pwire.ys create mode 100644 tests/verilog/conflict_wire_memory.ys (limited to 'tests') diff --git a/tests/verilog/conflict_assert.ys b/tests/verilog/conflict_assert.ys new file mode 100644 index 000000000..121a0cf51 --- /dev/null +++ b/tests/verilog/conflict_assert.ys @@ -0,0 +1,8 @@ +logger -expect error "Cannot add procedural assertion `\\x' because a signal with the same name was already created" 1 +read_verilog -sv <