From aa35f24290b0d7339860c8c8a6145703425fa154 Mon Sep 17 00:00:00 2001 From: Zachary Snow Date: Thu, 6 Jan 2022 22:04:00 -0700 Subject: sv: auto add nosync to certain always_comb local vars If a local variable is always assigned before it is used, then adding nosync prevents latches from being needlessly generated. --- tests/verilog/always_comb_latch_1.ys | 13 +++++++++++++ tests/verilog/always_comb_latch_2.ys | 15 +++++++++++++++ tests/verilog/always_comb_latch_3.ys | 20 ++++++++++++++++++++ tests/verilog/always_comb_latch_4.ys | 17 +++++++++++++++++ tests/verilog/always_comb_nolatch_1.ys | 16 ++++++++++++++++ tests/verilog/always_comb_nolatch_2.ys | 17 +++++++++++++++++ tests/verilog/always_comb_nolatch_3.ys | 21 +++++++++++++++++++++ tests/verilog/always_comb_nolatch_4.ys | 16 ++++++++++++++++ 8 files changed, 135 insertions(+) create mode 100644 tests/verilog/always_comb_latch_1.ys create mode 100644 tests/verilog/always_comb_latch_2.ys create mode 100644 tests/verilog/always_comb_latch_3.ys create mode 100644 tests/verilog/always_comb_latch_4.ys create mode 100644 tests/verilog/always_comb_nolatch_1.ys create mode 100644 tests/verilog/always_comb_nolatch_2.ys create mode 100644 tests/verilog/always_comb_nolatch_3.ys create mode 100644 tests/verilog/always_comb_nolatch_4.ys (limited to 'tests') diff --git a/tests/verilog/always_comb_latch_1.ys b/tests/verilog/always_comb_latch_1.ys new file mode 100644 index 000000000..c98c79fa2 --- /dev/null +++ b/tests/verilog/always_comb_latch_1.ys @@ -0,0 +1,13 @@ +read_verilog -sv <