From 5c6247dfa6a5a0b8f1dfbec2810db54deb42deb3 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 18 Feb 2018 16:35:06 +0100 Subject: Add support for SVA sequence concatenation ranges via verific Signed-off-by: Clifford Wolf --- tests/sva/runtest.sh | 1 + tests/sva/sva_range.sv | 19 +++++++++++++++++++ 2 files changed, 20 insertions(+) create mode 100644 tests/sva/sva_range.sv (limited to 'tests') diff --git a/tests/sva/runtest.sh b/tests/sva/runtest.sh index 4c8e16542..1b65ca9c9 100644 --- a/tests/sva/runtest.sh +++ b/tests/sva/runtest.sh @@ -35,6 +35,7 @@ generate_sby() { cat <<- EOT verific -import -extnets -all top prep -top top + chformal -early -assume [files] EOT diff --git a/tests/sva/sva_range.sv b/tests/sva/sva_range.sv new file mode 100644 index 000000000..38199bff1 --- /dev/null +++ b/tests/sva/sva_range.sv @@ -0,0 +1,19 @@ +module top ( + input clk, + input a, b, c, d +); + default clocking @(posedge clk); endclocking + + assert property ( + a ##[*] b |=> c until ##[*] d + ); + +`ifndef FAIL + assume property ( + b |=> ##5 d + ); + assume property ( + b || (c && !d) |=> c + ); +`endif +endmodule -- cgit v1.2.3