From 9d963cd29c499530bc4bcc66f298a6e56142c509 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 18 Feb 2018 14:57:52 +0100 Subject: Add support for SVA until statements via Verific Signed-off-by: Clifford Wolf --- tests/sva/sva_until.sv | 19 +++++++++++++++++++ 1 file changed, 19 insertions(+) create mode 100644 tests/sva/sva_until.sv (limited to 'tests/sva') diff --git a/tests/sva/sva_until.sv b/tests/sva/sva_until.sv new file mode 100644 index 000000000..a721e44b5 --- /dev/null +++ b/tests/sva/sva_until.sv @@ -0,0 +1,19 @@ +module top ( + input clk, + input a, b, c, d +); + default clocking @(posedge clk); endclocking + + assert property ( + a |=> b until_with (c ##1 d) + ); + +`ifndef FAIL + assume property ( + a |=> b && c + ); + assume property ( + b && c |=> b && d + ); +`endif +endmodule -- cgit v1.2.3