From 25e33d7ab8ebeb96d6a7fb842e33f35770367587 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 27 Feb 2018 20:33:15 +0100 Subject: Major redesign of Verific SVA importer Signed-off-by: Clifford Wolf --- tests/sva/sva_range.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'tests/sva') diff --git a/tests/sva/sva_range.sv b/tests/sva/sva_range.sv index 38199bff1..d1569fc83 100644 --- a/tests/sva/sva_range.sv +++ b/tests/sva/sva_range.sv @@ -5,7 +5,7 @@ module top ( default clocking @(posedge clk); endclocking assert property ( - a ##[*] b |=> c until ##[*] d + a ##[*] b |=> c until d ); `ifndef FAIL -- cgit v1.2.3