From 7ef6da4c7d418b53ea2868ea452a856cfb2d5b21 Mon Sep 17 00:00:00 2001 From: Miodrag Milanovic Date: Wed, 2 Feb 2022 13:22:44 +0100 Subject: Add test cases for co-simulation --- tests/sat/sim_counter.ys | 48 ++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 48 insertions(+) create mode 100644 tests/sat/sim_counter.ys (limited to 'tests/sat/sim_counter.ys') diff --git a/tests/sat/sim_counter.ys b/tests/sat/sim_counter.ys new file mode 100644 index 000000000..a0ff41b6e --- /dev/null +++ b/tests/sat/sim_counter.ys @@ -0,0 +1,48 @@ +# Create stimulus file +read_verilog <