From af6623ebb86e796dfe4c122f03890e0788399af0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Marcelina=20Ko=C5=9Bcielnicka?= Date: Wed, 15 Jul 2020 00:58:07 +0200 Subject: Add opt_dff pass. --- tests/opt/opt_dff_qd.ys | 56 +++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 56 insertions(+) create mode 100644 tests/opt/opt_dff_qd.ys (limited to 'tests/opt/opt_dff_qd.ys') diff --git a/tests/opt/opt_dff_qd.ys b/tests/opt/opt_dff_qd.ys new file mode 100644 index 000000000..afc96c42f --- /dev/null +++ b/tests/opt/opt_dff_qd.ys @@ -0,0 +1,56 @@ +### Q = D case. + +read_verilog -icells <