From af6623ebb86e796dfe4c122f03890e0788399af0 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Marcelina=20Ko=C5=9Bcielnicka?= Date: Wed, 15 Jul 2020 00:58:07 +0200 Subject: Add opt_dff pass. --- tests/opt/opt_dff_const.ys | 49 ++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 49 insertions(+) create mode 100644 tests/opt/opt_dff_const.ys (limited to 'tests/opt/opt_dff_const.ys') diff --git a/tests/opt/opt_dff_const.ys b/tests/opt/opt_dff_const.ys new file mode 100644 index 000000000..6a7dec7fa --- /dev/null +++ b/tests/opt/opt_dff_const.ys @@ -0,0 +1,49 @@ +### Replace FFs with a const. + +read_verilog -icells <