From 97f2979bbae05cb8b4ead4522a8343a0b149c7a0 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Mon, 18 Nov 2013 12:01:00 +0100 Subject: Added commented-out osu025 maping commands to cmos techmap example --- techlibs/cmos/counter.ys | 5 +++++ 1 file changed, 5 insertions(+) (limited to 'techlibs') diff --git a/techlibs/cmos/counter.ys b/techlibs/cmos/counter.ys index 81430f681..a784f3465 100644 --- a/techlibs/cmos/counter.ys +++ b/techlibs/cmos/counter.ys @@ -3,9 +3,14 @@ read_verilog counter.v read_verilog -lib cmos_cells.v proc;; memory;; techmap;; + dfflibmap -liberty cmos_cells.lib abc -liberty cmos_cells.lib;; +# http://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/latest/cadence/lib/tsmc025/signalstorm/osu025_stdcells.lib +# dfflibmap -liberty osu025_stdcells.lib +# abc -liberty osu025_stdcells.lib;; + write_verilog synth.v write_spice synth.sp -- cgit v1.2.3