From 50bcd9a728ff89f220873b3345c4e18a65c4a37f Mon Sep 17 00:00:00 2001 From: Larry Doolittle Date: Wed, 4 Oct 2017 17:01:30 -0700 Subject: Clean whitespace and permissions in techlibs/intel --- techlibs/intel/cyclonev/cells_map.v | 110 ++++++++++++++++++------------------ 1 file changed, 55 insertions(+), 55 deletions(-) mode change 100755 => 100644 techlibs/intel/cyclonev/cells_map.v (limited to 'techlibs/intel/cyclonev/cells_map.v') diff --git a/techlibs/intel/cyclonev/cells_map.v b/techlibs/intel/cyclonev/cells_map.v old mode 100755 new mode 100644 index b3927ea4a..9fe8db2da --- a/techlibs/intel/cyclonev/cells_map.v +++ b/techlibs/intel/cyclonev/cells_map.v @@ -39,7 +39,7 @@ module \$_DFF_PP0_ (input D, C, R, output Q); dffeas #(.is_wysiwyg(WYSIWYG)) _TECHMAP_REPLACE_ (.d(D), .q(Q), .clk(C), .clrn(R_i), .prn(1'b1), .ena(1'b1), .asdata(1'b0), .aload(1'b0), .sclr(1'b0), .sload(1'b0)); endmodule -module \$__DFFE_PP0 (input D, C, E, R, output Q); +module \$__DFFE_PP0 (input D, C, E, R, output Q); parameter WYSIWYG="TRUE"; wire E_i = ~ E; dffeas #(.is_wysiwyg(WYSIWYG)) _TECHMAP_REPLACE_ (.d(D), .q(Q), .clk(C), .clrn(R), .prn(1'b1), .ena(1'b1), .asdata(1'b0), .aload(1'b0), .sclr(E_i), .sload(1'b0)); @@ -60,89 +60,89 @@ module \$lut (A, Y); parameter WIDTH = 0; parameter LUT = 0; input [WIDTH-1:0] A; - output Y; + output Y; generate if (WIDTH == 1) begin assign Y = ~A[0]; // Not need to spend 1 logic cell for such an easy function - end + end else if (WIDTH == 2) begin - cyclonev_lcell_comb #(.lut_mask({16{LUT}}), .shared_arith("off"), .extended_lut("off")) - _TECHMAP_REPLACE_ - (.combout(Y), - .dataa(A[0]), - .datab(A[1]), + cyclonev_lcell_comb #(.lut_mask({16{LUT}}), .shared_arith("off"), .extended_lut("off")) + _TECHMAP_REPLACE_ + (.combout(Y), + .dataa(A[0]), + .datab(A[1]), .datac(1'b1), - .datad(1'b1), - .datae(1'b1), - .dataf(1'b1), + .datad(1'b1), + .datae(1'b1), + .dataf(1'b1), .datag(1'b1)); - end + end else if(WIDTH == 3) begin - cyclonev_lcell_comb #(.lut_mask({8{LUT}}), .shared_arith("off"), .extended_lut("off")) - _TECHMAP_REPLACE_ - (.combout(Y), - .dataa(A[0]), - .datab(A[1]), + cyclonev_lcell_comb #(.lut_mask({8{LUT}}), .shared_arith("off"), .extended_lut("off")) + _TECHMAP_REPLACE_ + (.combout(Y), + .dataa(A[0]), + .datab(A[1]), .datac(A[2]), - .datad(1'b1), - .datae(1'b1), - .dataf(1'b1), + .datad(1'b1), + .datae(1'b1), + .dataf(1'b1), .datag(1'b1)); - end + end else if(WIDTH == 4) begin - cyclonev_lcell_comb #(.lut_mask({4{LUT}}), .shared_arith("off"), .extended_lut("off")) - _TECHMAP_REPLACE_ - (.combout(Y), - .dataa(A[0]), - .datab(A[1]), + cyclonev_lcell_comb #(.lut_mask({4{LUT}}), .shared_arith("off"), .extended_lut("off")) + _TECHMAP_REPLACE_ + (.combout(Y), + .dataa(A[0]), + .datab(A[1]), .datac(A[2]), - .datad(A[3]), - .datae(1'b1), - .dataf(1'b1), + .datad(A[3]), + .datae(1'b1), + .dataf(1'b1), .datag(1'b1)); - end + end else - if(WIDTH == 5) begin + if(WIDTH == 5) begin cyclonev_lcell_comb #(.lut_mask({2{LUT}}), .shared_arith("off"), .extended_lut("off")) - _TECHMAP_REPLACE_ - (.combout(Y), - .dataa(A[0]), - .datab(A[1]), + _TECHMAP_REPLACE_ + (.combout(Y), + .dataa(A[0]), + .datab(A[1]), .datac(A[2]), - .datad(A[3]), - .datae(A[4]), - .dataf(1'b1), + .datad(A[3]), + .datae(A[4]), + .dataf(1'b1), .datag(1'b1)); - end + end else if(WIDTH == 6) begin cyclonev_lcell_comb #(.lut_mask(LUT), .shared_arith("off"), .extended_lut("off")) - _TECHMAP_REPLACE_ - (.combout(Y), - .dataa(A[0]), - .datab(A[1]), + _TECHMAP_REPLACE_ + (.combout(Y), + .dataa(A[0]), + .datab(A[1]), .datac(A[2]), - .datad(A[3]), - .datae(A[4]), - .dataf(A[5]), + .datad(A[3]), + .datae(A[4]), + .dataf(A[5]), .datag(1'b1)); - end + end else if(WIDTH == 7) begin cyclonev_lcell_comb #(.lut_mask(LUT), .shared_arith("off"), .extended_lut("off")) - _TECHMAP_REPLACE_ - (.combout(Y), - .dataa(A[0]), - .datab(A[1]), + _TECHMAP_REPLACE_ + (.combout(Y), + .dataa(A[0]), + .datab(A[1]), .datac(A[2]), - .datad(A[3]), - .datae(A[4]), - .dataf(A[5]), + .datad(A[3]), + .datae(A[4]), + .dataf(A[5]), .datag(A[6])); - end + end else wire _TECHMAP_FAIL_ = 1; endgenerate -- cgit v1.2.3