From 634f18be961683917ca589bed1a44b8031f06764 Mon Sep 17 00:00:00 2001 From: Andrew Zonenberg Date: Wed, 30 Aug 2017 16:27:18 -0700 Subject: extract_counter: Minor changes requested to comply with upstream policy, fixed a few typos --- techlibs/greenpak4/cells_map.v | 6 +++--- techlibs/greenpak4/synth_greenpak4.cc | 2 +- 2 files changed, 4 insertions(+), 4 deletions(-) (limited to 'techlibs/greenpak4') diff --git a/techlibs/greenpak4/cells_map.v b/techlibs/greenpak4/cells_map.v index 1450eac2e..b0ec9fd3e 100644 --- a/techlibs/greenpak4/cells_map.v +++ b/techlibs/greenpak4/cells_map.v @@ -164,7 +164,7 @@ module \$__COUNT_ (CE, CLK, OUT, POUT, RST, UP); //If we have a CE, or DIRECTION other than DOWN fail... GP_COUNTx_ADV is not supported yet if(HAS_CE || (DIRECTION != "DOWN") ) begin initial begin - $display("ERROR: \$__COUNT__ support for GP_COUNTx_ADV is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?)."); + $display("ERROR: \$__COUNT_ support for GP_COUNTx_ADV is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?)."); $finish; end end @@ -172,7 +172,7 @@ module \$__COUNT_ (CE, CLK, OUT, POUT, RST, UP); //If counter is more than 14 bits wide, complain (also shouldn't happen) else if(WIDTH > 14) begin initial begin - $display("ERROR: \$__COUNT__ support for cascaded counters is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?)."); + $display("ERROR: \$__COUNT_ support for cascaded counters is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?)."); $finish; end end @@ -180,7 +180,7 @@ module \$__COUNT_ (CE, CLK, OUT, POUT, RST, UP); //If counter is more than 8 bits wide and has parallel output, we have a problem else if(WIDTH > 8 && HAS_POUT) begin initial begin - $display("ERROR: \$__COUNT__ support for 9-14 bit counters with parallel output is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?)."); + $display("ERROR: \$__COUNT_ support for 9-14 bit counters with parallel output is not yet implemented. This counter should never have been extracted (bug in extract_counter pass?)."); $finish; end end diff --git a/techlibs/greenpak4/synth_greenpak4.cc b/techlibs/greenpak4/synth_greenpak4.cc index 56ea8003e..5e0e9e5d5 100644 --- a/techlibs/greenpak4/synth_greenpak4.cc +++ b/techlibs/greenpak4/synth_greenpak4.cc @@ -155,7 +155,7 @@ struct SynthGreenPAK4Pass : public ScriptPass if (check_label("fine")) { - run("extract_counter -pout \\GP_DCMP,\\GP_DAC -maxwidth 14"); + run("extract_counter -pout GP_DCMP,GP_DAC -maxwidth 14"); run("clean"); run("opt -fast -mux_undef -undriven -fine"); run("memory_map"); -- cgit v1.2.3