From 38a0c30d65584335fee3e17f9075711853638ac3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Marcelina=20Ko=C5=9Bcielnicka?= Date: Sat, 11 Apr 2020 18:36:51 +0200 Subject: Get rid of dffsr2dff. This pass is a proper subset of opt_rmdff, which is called by opt, which is called by every synth flow in the coarse part. Thus, it never actually does anything and can be safely removed. --- techlibs/anlogic/synth_anlogic.cc | 1 - 1 file changed, 1 deletion(-) (limited to 'techlibs/anlogic') diff --git a/techlibs/anlogic/synth_anlogic.cc b/techlibs/anlogic/synth_anlogic.cc index 96a231286..791dc922f 100644 --- a/techlibs/anlogic/synth_anlogic.cc +++ b/techlibs/anlogic/synth_anlogic.cc @@ -182,7 +182,6 @@ struct SynthAnlogicPass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); run("techmap -D NO_LUT -map +/anlogic/cells_map.v"); run("dffinit -strinit SET RESET -ff AL_MAP_SEQ q REGSET -noreinit"); run("opt_expr -mux_undef"); -- cgit v1.2.3