From cae905f55128a77e8d2eecc75d861292d79baa79 Mon Sep 17 00:00:00 2001 From: gatecat Date: Wed, 17 Mar 2021 12:16:53 +0000 Subject: Blackbox all whiteboxes after synthesis This prevents issues like processes in whiteboxes triggering an error in the JSON backend. Signed-off-by: gatecat --- techlibs/achronix/synth_achronix.cc | 1 + 1 file changed, 1 insertion(+) (limited to 'techlibs/achronix') diff --git a/techlibs/achronix/synth_achronix.cc b/techlibs/achronix/synth_achronix.cc index b203828d2..a31cbfc14 100644 --- a/techlibs/achronix/synth_achronix.cc +++ b/techlibs/achronix/synth_achronix.cc @@ -173,6 +173,7 @@ struct SynthAchronixPass : public ScriptPass { run("hierarchy -check"); run("stat"); run("check -noinit"); + run("blackbox =A:whitebox"); } if (check_label("vout")) -- cgit v1.2.3