From 5733f4a39d56388e531b6c1471f56c3efb023f31 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Wed, 3 Sep 2014 13:43:37 +0200 Subject: Fixed "test_cells -vlog" --- passes/tests/test_cell.cc | 10 ++++++---- 1 file changed, 6 insertions(+), 4 deletions(-) (limited to 'passes/tests') diff --git a/passes/tests/test_cell.cc b/passes/tests/test_cell.cc index 627c2f7d4..dce768048 100644 --- a/passes/tests/test_cell.cc +++ b/passes/tests/test_cell.cc @@ -531,7 +531,7 @@ struct TestCellPass : public Pass { if (selected_cell_types.empty()) log_cmd_error("No cell type to test specified.\n"); - std::vector task_names; + std::vector uut_names; for (auto cell_type : selected_cell_types) for (int i = 0; i < num_iter; i++) @@ -553,7 +553,7 @@ struct TestCellPass : public Pass { Backend::backend_call(design, &vlog_file, "", "verilog -selected"); Pass::call(design, stringf("copy gold %s_noexpr; select %s_noexpr", uut_name.c_str(), uut_name.c_str())); Backend::backend_call(design, &vlog_file, "", "verilog -selected -noexpr"); - task_names.push_back(uut_name + ".run"); + uut_names.push_back(uut_name); } run_eval_test(design, verbose, uut_name, vlog_file); delete design; @@ -561,9 +561,11 @@ struct TestCellPass : public Pass { if (vlog_file.is_open()) { vlog_file << "\nmodule testbench;\n"; + for (auto &uut : uut_names) + vlog_file << stringf(" %s %s ();\n", uut.c_str(), uut.c_str()); vlog_file << " initial begin\n"; - for (auto &task : task_names) - vlog_file << " " << task << ";\n"; + for (auto &uut : uut_names) + vlog_file << " " << uut << ".run;\n"; vlog_file << " end\n"; vlog_file << "endmodule\n"; } -- cgit v1.2.3