From a39505e329cc05dbd4ad624a1cf0f6caf664fd9a Mon Sep 17 00:00:00 2001 From: Eddie Hung Date: Fri, 27 Sep 2019 12:59:10 -0700 Subject: equiv_opt to call async2sync when not -multiclock like SymbiYosys --- passes/equiv/equiv_opt.cc | 2 ++ 1 file changed, 2 insertions(+) (limited to 'passes/equiv/equiv_opt.cc') diff --git a/passes/equiv/equiv_opt.cc b/passes/equiv/equiv_opt.cc index d4c7f7953..9fe3bbd57 100644 --- a/passes/equiv/equiv_opt.cc +++ b/passes/equiv/equiv_opt.cc @@ -156,6 +156,8 @@ struct EquivOptPass:public ScriptPass if (check_label("prove")) { if (multiclock || help_mode) run("clk2fflogic", "(only with -multiclock)"); + else + run("async2sync", "(only without -multiclock)"); run("equiv_make gold gate equiv"); if (help_mode) run("equiv_induct [-undef] equiv"); -- cgit v1.2.3