From 97ac77513fa29d0c23ee3453247d214f986d5e19 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 8 Nov 2016 18:53:36 +0100 Subject: Bugfix in "setundef" pass --- passes/cmds/setundef.cc | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) (limited to 'passes/cmds/setundef.cc') diff --git a/passes/cmds/setundef.cc b/passes/cmds/setundef.cc index 26b2eb87d..e54135c8f 100644 --- a/passes/cmds/setundef.cc +++ b/passes/cmds/setundef.cc @@ -90,6 +90,8 @@ struct SetundefPass : public Pass { bool init_mode = false; SetundefWorker worker; + log_header(design, "Executing SETUNDEF pass (replace undef values with defined constants).\n"); + size_t argidx; for (argidx = 1; argidx < args.size(); argidx++) { @@ -137,8 +139,11 @@ struct SetundefPass : public Pass { SigPool undriven_signals; for (auto &it : module->wires_) - if (!it.second->port_input) - undriven_signals.add(sigmap(it.second)); + undriven_signals.add(sigmap(it.second)); + + for (auto &it : module->wires_) + if (it.second->port_input) + undriven_signals.del(sigmap(it.second)); CellTypes ct(design); for (auto &it : module->cells_) -- cgit v1.2.3