From 03d63dd861725ae9a4668a874566603b6b9bc247 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 4 Feb 2014 16:51:12 +0100 Subject: presentation progress --- manual/PRESENTATION_ExSyn/abc_01.ys | 5 +++++ 1 file changed, 5 insertions(+) create mode 100644 manual/PRESENTATION_ExSyn/abc_01.ys (limited to 'manual/PRESENTATION_ExSyn/abc_01.ys') diff --git a/manual/PRESENTATION_ExSyn/abc_01.ys b/manual/PRESENTATION_ExSyn/abc_01.ys new file mode 100644 index 000000000..bb0b3780f --- /dev/null +++ b/manual/PRESENTATION_ExSyn/abc_01.ys @@ -0,0 +1,5 @@ +read_verilog abc_01.v +read_verilog -lib abc_01_cells.v +hierarchy -check -top test +proc; opt; techmap +abc -dff -liberty abc_01_cells.lib;; -- cgit v1.2.3