From a3a13cce32e8d1c002e95d1a4ec1feca30f221bb Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 22 Sep 2015 21:34:21 +0200 Subject: Fixed detection of "task foo(bar);" syntax error --- frontends/verilog/verilog_parser.y | 2 ++ 1 file changed, 2 insertions(+) (limited to 'frontends/verilog/verilog_parser.y') diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index 708ac7627..0fd7c6e0d 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -708,6 +708,8 @@ wire_name_and_opt_assign: wire_name: TOK_ID range_or_multirange { + if (astbuf1 == nullptr) + frontend_verilog_yyerror("Syntax error."); AstNode *node = astbuf1->clone(); node->str = *$1; append_attr_clone(node, albuf); -- cgit v1.2.3