From 4f68a77e3f03aac0dc18caeb02b19b100f04879d Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Tue, 10 Feb 2015 12:17:29 +0100 Subject: Improved read_verilog support for empty behavioral statements --- frontends/verilog/verilog_parser.y | 8 ++------ 1 file changed, 2 insertions(+), 6 deletions(-) (limited to 'frontends/verilog/verilog_parser.y') diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y index 621b6cc18..23cea27f1 100644 --- a/frontends/verilog/verilog_parser.y +++ b/frontends/verilog/verilog_parser.y @@ -946,7 +946,7 @@ simple_behavioral_stmt: // this production creates the obligatory if-else shift/reduce conflict behavioral_stmt: defattr | assert | wire_decl | - simple_behavioral_stmt ';' | + simple_behavioral_stmt ';' | ';' | hierarchical_id attr { AstNode *node = new AstNode(AST_TCALL); node->str = *$1; @@ -1060,10 +1060,6 @@ opt_synopsys_attr: } | /* empty */; -behavioral_stmt_opt: - behavioral_stmt | - ';' ; - behavioral_stmt_list: behavioral_stmt_list behavioral_stmt | /* empty */; @@ -1092,7 +1088,7 @@ case_item: ast_stack.back()->children.push_back(block); ast_stack.push_back(block); case_type_stack.push_back(0); - } behavioral_stmt_opt { + } behavioral_stmt { case_type_stack.pop_back(); ast_stack.pop_back(); ast_stack.pop_back(); -- cgit v1.2.3