From f482c9c0168a6857383e7d9360c8ca1df36ba2bc Mon Sep 17 00:00:00 2001 From: Peter Crozier Date: Tue, 12 May 2020 14:25:33 +0100 Subject: Generalise structs and add support for packed unions. --- frontends/verilog/verilog_lexer.l | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) (limited to 'frontends/verilog/verilog_lexer.l') diff --git a/frontends/verilog/verilog_lexer.l b/frontends/verilog/verilog_lexer.l index 19e54816d..6217b5728 100644 --- a/frontends/verilog/verilog_lexer.l +++ b/frontends/verilog/verilog_lexer.l @@ -265,6 +265,7 @@ static bool isUserType(std::string &s) "bit" { SV_KEYWORD(TOK_LOGIC); } "int" { SV_KEYWORD(TOK_INT); } "byte" { SV_KEYWORD(TOK_BYTE); } +"shortint" { SV_KEYWORD(TOK_SHORTINT); } "eventually" { if (formal_mode) return TOK_EVENTUALLY; SV_KEYWORD(TOK_EVENTUALLY); } "s_eventually" { if (formal_mode) return TOK_EVENTUALLY; SV_KEYWORD(TOK_EVENTUALLY); } @@ -284,8 +285,9 @@ static bool isUserType(std::string &s) "enum" { SV_KEYWORD(TOK_ENUM); } "typedef" { SV_KEYWORD(TOK_TYPEDEF); } -"struct" { SV_KEYWORD(TOK_STRUCT); } -"packed" { SV_KEYWORD(TOK_PACKED); } +"struct" { SV_KEYWORD(TOK_STRUCT); } +"union" { SV_KEYWORD(TOK_UNION); } +"packed" { SV_KEYWORD(TOK_PACKED); } [0-9][0-9_]* { yylval->string = new std::string(yytext); -- cgit v1.2.3