From 9a2a8cd97b8ff155c137045ee3654dcdc046c401 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 1 Mar 2018 11:40:43 +0100 Subject: Fixes and improvements in Verific SVA importer Signed-off-by: Clifford Wolf --- frontends/verific/verific.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'frontends/verific/verific.h') diff --git a/frontends/verific/verific.h b/frontends/verific/verific.h index 28a0c174b..2ca01072f 100644 --- a/frontends/verific/verific.h +++ b/frontends/verific/verific.h @@ -23,7 +23,7 @@ YOSYS_NAMESPACE_BEGIN -extern bool verific_verbose; +extern int verific_verbose; extern pool verific_sva_prims; -- cgit v1.2.3